DFT

Our DFT methodology can provide a complete solution including MBIST, regular scan, AC scan, scan compression, boundary scan (JTAG), power aware test and ATPG to achieve limit tending to a 100% test coverage

"Our DFT methodology can provide a complete solution including MBIST, regular scan, AC scan, scan compression, boundary scan (JTAG), power aware test and ATPG to achieve limit tending to a 100% test coverage"

Our primary focus is to provide silicon with least defects, reduce the cost and time associated with test development and reduce the execution time of performing tests on fabricated chips. We also focus on DFT techniques for digital logic, analog/mixed-signal components.

Implementation Methodologies

  • Internal Full Scan
    • Scan stitching
    • Scan compression (TestKompress)
    • Scan design architecture
    • ATPG and Simulation
    • Muxed Scan
    • Clocked Scan
  • Boundary Scan – JTAG
    • The Boundary-Scan Cell
    • Test Access Port (TAP) Controller
    • Boundary Scan Architecture Registers
    • Basic Boundary Scan Cell
    • Boundary Scan Design Flow
    • Boundary-Scan Description Language (BSDL)
  • BIST - Built In Self Test
    • Logic BIST (LBIST)
    • Memory BIST (MBIST)
  • DFT Design Rule Check (DFT-DRC)
    • Asynchronous Set/Reset Signals
    • Generated clocks
    • Gated Clocks
    • Combinational Feedback Loops
    • Bi-Directional I/O Ports
    • Tri-State buses